Search found 2 matches

by ctmakro
19 Apr 2017, 23:29
Forum: Bug reports
Topic: can't simxStartSimulation() immediately after simxStopSimulation()
Replies: 2
Views: 3855

Re: can't simxStartSimulation() immediately after simxStopSimulation()

After hours of trial and error I finally made the whole thing work. I'd like to share the method I use. Ladies and Gentlemen, here I present: HOW TO REPEATEDLY RUN A SIMULATION SYNCHRONOUSLY USING THE REMOTE API (IF YOU DON'T DO WHAT I DID, YOU WILL GET YOURSELF INTO TROUBLE) print ('Connected to re...
by ctmakro
18 Apr 2017, 19:43
Forum: Bug reports
Topic: can't simxStartSimulation() immediately after simxStopSimulation()
Replies: 2
Views: 3855

can't simxStartSimulation() immediately after simxStopSimulation()

the code below was modified from simpleSynchronousTest.py. I'd like to run the simulation 5 times, 30 steps each; so I added a for loop. print ('Program started') vrep.simxFinish(-1) # just in case, close all opened connections clientID=vrep.simxStart('127.0.0.1',19997,True,True,5000,1) # Connect to...